Welcome![Sign In][Sign Up]
Location:
Search - EDGE DETection VHDLcode resou

Search list

[Fractal programvideoprocessor

Description: 边沿检测,用vhdl实现sobel算子。-Edge detection, using VHDL realize sobel operator.
Platform: | Size: 8093696 | Author: 大洪 | Hits:

[Special Effectsedge_detection(fast)

Description: 對於邊緣檢測的使用幫助很大~ 自己研究已久!!可以試試看喔-Edge detection for the use of great help to study their long-standing ~!! Can try喔
Platform: | Size: 239616 | Author: 劉奕成 | Hits:

CodeBus www.codebus.net